Friedrich-Alexander-Universität UnivisDeutsch FAU-Logo
Techn. Fakultät Willkommen am Department Informatik FAU-Logo
Logo IMMD
Department of Computer Science 4
Thermal
Dept. of Computer Science  >  CS 4  >  Research  >  PowerManagement  >  Bibliography  >  Thermal

Bibliography on Thermal Management

This page is also available in .pdf Format

[Bel01] Christian Belady. Cooling and power consideration for semiconductors into the next century. In Proceedings of the 2001 International Symposium on Low-Power Electronics and Design (ISLPED'01), August 2001. doi: 10.1145/383082.383104
[ bib | doi ]

[BKWW03] Frank Bellosa, Simon Kellner, Martin Waitz, and Andreas Weißel. Event-driven energy accounting for dynamic thermal management. Technical Report TR-I4-03-02, University of Erlangen, Department of Computer Science, July 2003.
[ bib | .pdf ]

[BM01] David Brooks and Margaret Martonosi. Dynamic thermal management for high-performance microprocessors. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), January 2001.
[ bib | .pdf ]

[BWWK03] Frank Bellosa, Andreas Weißel, Martin Waitz, and Simon Kellner. Event-driven energy accounting for dynamic thermal management. In Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), September 2003.
[ bib | .pdf ]

[CCF+07] J. Choi, C-Y. Cher, H. Franke, H. Hamann, A. Weger, and P. Bose. Thermal-aware task scheduling at the system software level. In Proceedings of the 2007 International Symposium on Low-Power Electronics and Design (ISLPED'07), August 2007.
[ bib | http ]

[CGM+07] P. Chaparro, J. Gonzalez, G. Magklis, Cai Qiong, and A. Gonzalez. Understanding the thermal implications of multi-core architectures. Parallel and Distributed Systems, IEEE Transactions on, 18(8):1055–1065, August 2007. doi: 10.1109/TPDS.2007.1092
[ bib | doi ]

[CRW07] Ayse K. Coskun, Tajana Simunic Rosing, and Keith Whisnant. Temperature aware task scheduling in MPSoCs. In Proceedings of the Conference on Design Automation and Test in Europe (DATE'07), pages 1–6, April 2007. doi: 10.1109/DATE.2007.364540
[ bib | doi | .pdf ]

[DM04] James Donald and Margaret Martonosi. Temperature-aware design issues for SMT and CMP architectures. In Proceedings of the Fifth Workshop on Complexity-Effective Design, in conjunction with International Symposium on Computer Architecture (ISCA), June 2004.
[ bib ]

[DM05] James Donald and Margaret Martonosi. Leveraging simultaneous multithreading for adaptive thermal control. In Proceedings of the Second Workshop on Temperature-Aware Computer Systems (TACS'05), Madison, USA, June 2005.
[ bib | .pdf ]

[DM06] James Donald and Margaret Martonosi. Techniques for multicore thermal management: Classification and new exploration. SIGARCH Comput. Archit. News, 34(2):78–88, 2006. doi: 10.1145/1150019.1136493
[ bib | doi ]

[GBCH01] Stephen H. Gunther, Frank Binns, Douglas M. Carmean, and Jonathan C. Hall. Managing the impact of increasing microprocessor power consumption. Intel Technology Journal, 2001. Q1 issue.
[ bib | .pdf ]

[GPV04] Mohamed Gomaa, Michael D. Powell, and T. N. Vijaykumar. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. In ASPLOS-XI: Proceedings of the 11th international conference on Architectural support for programming languages and operating systems, pages 260–270, New York, NY, USA, 2004. ACM. doi: 10.1145/1024393.1024424
[ bib | doi | .pdf ]

[HKK06] Yongkui Han, Israel Koren, and C. M. Krishna. Temptor: A lightweight runtime temperature monitoring tool using performance counters. In Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06), June 2006.
[ bib | .pdf ]

[HRYT00] Michael Huang, Jose Renau, Seung-Moon Yoo, and Josep Torrellas. A framework for dynamic energy efficiency and temperature management. In Proceedings of the 33rd International Symposium on Microarchitecture MICRO'2000, December 2000. doi: 10.1145/360128.360149
[ bib | doi ]

[HSS+04] Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan, Shougata Ghosh, and Sivakumar Velusamy. Compact thermal modeling for temperature aware design. In Proceedings of the 41st Design Automation Conference (DAC'04), 2004.
[ bib | .pdf ]

[Int02] Intel. Intel® Pentium® 4 Processor with 512-KB L2 Cache on 0.13 Micron Process Thermal Design Guidelines Design Guide, November 2002.
[ bib | .pdf ]

[KCBP06] E. Kursun, C-Y. Cher, A. Buyuktosunoglu, and P.Bose. Investigating the effects of task scheduling on thermal behavior. In Proceedings of the Third Workshop on Temperature-Aware Computer Systems (TACS'06), June 2006.
[ bib | .pdf ]

[Kel03] Simon Kellner. Event-driven temperature-control in operating systems. Study thesis, Department of Computer Science, University of Erlangen-Nuremberg, April 2003.
[ bib | .pdf ]

[KSPJ06] A. Kumar, Li Shang, Li-Shiuan Peh, and N.K. Jha. Hybdtm: a coordinated hardware-software approach for dynamic thermal management. In Proceedings of the 43rd Design Automation Conference (DAC'06), pages 548–553, 2006. doi: 10.1109/DAC.2006.229219
[ bib | doi ]

[LS05] Kyeong-Jae Lee and Kevin Skadron. Using performance counters for runtime temperature sensing in high-performance processors. In Proceedings of the Nineteenth IEEE International Parallel and Distributed Processing Symposium (IPDPS'05), April 2005. doi: 10.1109/IPDPS.2005.448
[ bib | doi | .pdf ]

[MB06] Andreas Merkel and Frank Bellosa. Balancing power consumption in multiprocessor systems. In First ACM SIGOPS EuroSys Conference, Leuven, Belgium, April 18–21 2006. doi: 10.1145/1217935.1217974
[ bib | doi | .pdf ]

[MB08] Andreas Merkel and Frank Bellosa. Task activity vectors: A new metric for temperature-aware scheduling. In Third ACM SIGOPS EuroSys Conference, Glasgow, Scotland, March 31 – April 04 2008. doi: 10.1145/1352592.1352594
[ bib | doi ]

[MBW05] Andreas Merkel, Frank Bellosa, and Andreas Weißel. Event-driven thermal management in SMP systems. In Proceedings of the Second Workshop on Temperature-Aware Computer Systems (TACS'05), Madison, USA, June 2005.
[ bib | .pdf ]

[MCRS05] Justin Moore, Jeff Chase, Parthasarathy Ranganathan, and Ratnesh Sharma. Making scheduling “cool”: Temperature-aware workload placement in data centers. In Proceedings of the 2005 USENIX Annual Technical Conference, June 2005.
[ bib | .html ]

[MS06] Pierre Michaud and Yiannakis Sazeides. Scheduling issues on thermally-constrained processors. Technical report, Institut de Recherche en Informatique et Systemes Aleatoires, October 2006.
[ bib | .pdf ]

[MSS+04] J. Moore, R. Sharma, R. Shih, J. Chase, C. Patel, and P. Ranganathan. Going beyond CPUs: The potential of temperature-aware data center architectures. In Proceedings of the First Workshop on Temperatur-Aware Computer Systems (TACS'04), June 2004.
[ bib | .pdf ]

[NRM+06] Alon Naveh, Efraim Rotem, Avi Mendelson, Simcha Gochman, Rajshree Chabukswar, Karthik Krishnan, and Arun Kumar. Power and thermal management in the intel core duo processor. Intel Technology Journal, 10(2), 2006. doi: 10.1535/itj.1002.03
[ bib | doi | .pdf ]

[RB08] L. Ramos and R. Bianchini. C-Oracle: Predictive thermal management for data centers. In Proceedings of the Fourteenth International Symposium on High-Performance Computer Architecture (HPCA'08), February 2008.
[ bib | .pdf ]

[RHAH06] Efraim Rothem, Jim Hermerding, Cohen Aviad, and Cain Harel. Temperature measurement in the intel core duo processor. In Proceedings of the Twelfth International Workshop on Thermal Investigations of ICs (THERMINIC'06), August 2006.
[ bib ]

[RMNM04] Efi Rotem, Avi Mendelson, Alon Naveh, and Micha Moffie. Analysis of the enhanced intel speedstep technology of the pentium m processor. In Proceedings of the First Workshop on Temperature-Aware Computer Systems (TACS'04), June 2004.
[ bib | .pdf ]

[RS99] Erven Rohou and Michael D. Smith. Dynamically managing processor temperature and power. In Proceedings of the 2nd Workshop on Feedback-Directed Optimization, November 1999.
[ bib | .ps ]

[SA03] Jayanth Srinivasan and Sarita V. Adve. Predictive dynamic thermal management for multimedia applications. In Proceedings of the Seventeenth Annual International Conference on Supercomputing (ICS'03), June 2003. doi: 10.1145/782814.782831
[ bib | doi ]

[SAS02] Kevin Skadron, Tarek Abdelzaher, and Mircea R. Stan. Control-theoretic techniques and thermal-RC modeling for accurate and localized dynamic thermal management. In Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), January 2002.
[ bib | .html ]

[SBP+03] Ratnesh K. Sharma, Cullen E. Bash, Chandrakant D. Pateland, Richard J. Friedrich, and Jeffrey S. Chase. Balance of power: Dynamic thermal management for internet data centers. Technical Report HPL-2003-5, HP Labs, February 2003.
[ bib | .html ]

[SKO+97] H. Sanchez, B. Kuttanna, T. Olson, M. Alexander, G. Gerosa, R. Philip, and J. Alvarez. Thermal management system for high performance PowerPC microprocessors. In Proceedings of IEEE Compcon'97 Digest of Papers, February 1997. doi: 10.1109/CMPCON.1997.584744
[ bib | doi ]

[SSH+03a] Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-aware microarchitecture. In Proceedings of the 30th International Symposium on Computer Architecture (ISCA'03), June 2003. doi: 10.1145/859618.859620
[ bib | doi ]

[SSH+03b] Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, and David Tarjan. Temperature-aware microarchitecture: Extended discussion and results. Technical Report CS-2003-08, University of Virginia, Dept. of Computer Science, April 2003.
[ bib | .pdf ]

[SSS+04] Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang, Sivakumar Velusamy, and David Tarjan. Temperature-aware microarchitecture: Modeling and implementation. ACM Transactions on Architecture and Code Optimization, 1(1):94–125, March 2004. doi: 10.1145/980152.980157
[ bib | doi | .pdf ]

[ST07] Kyriakos Stavrou and Pedro Trancoso. Thermal-aware scheduling for future chip multiprocessors. EURASIP Journal on Embedded Systems, 2007(1):40, 2007. doi: 10.1155/2007/48926
[ bib | doi ]

[VHL+05] S. Velusamy, W. Huang, J. Lach, M. R. Stan, and K. Skadron. Monitoring temperature in FPGA based SoCs. In Proceedings of the 2005 International Conference on Computer Design (ICCD'05), October 2005.
[ bib | .pdf ]

[VWWL00] Ram Viswanath, Vijay Wakharkar, Abhay Watwe, and Vassou Lebonheur. Thermal performance challenges from silicon to systems. Intel Technology Journal, 2000. Q3 issue.
[ bib | .pdf ]

[WA08] Jonathan A. Winter and David H. Albonesi. Addressing thermal nonuniformity in SMT workloads. ACM Trans. Archit. Code Optim., 5(1):1–28, 2008. doi: 10.1145/1369396.1369400
[ bib | doi ]

[WB04] Andreas Weißel and Frank Bellosa. Dynamic thermal management in distributed systems. In Proceedings of the First Workshop on Temperatur-Aware Computer Systems (TACS'04), June 2004.
[ bib | .pdf ]

[YC01] Lian-Tuu Yeh and Richard C. Chu. Thermal Management of Microelectronic Equipment. American Society of Mechanical Engineers, 2001.
[ bib ]

[YLB+06] Li Yingmin, B. Lee, D. Brooks, Hu Zhigang, and K. Skadron. Impact of thermal constraints on multi-core architectures. In Proceedings of the Tenth Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronics Systems (ITHERM'06), page 8 pp. IEEE, 2006. doi: 10.1109/ITHERM.2006.1645333
[ bib | doi | .pdf ]

[YSBZ05] Li Yingmin, Kevin Skadron, David Brooks, and Hu Zhigang. Performance, energy, and thermal considerations for SMT and CMP architectures. In Proceedings of the Eleventh International Symposium on High-Performance Computer Architecture (HPCA'05), pages 71–82, February 2005. doi: 10.1109/HPCA.2005.25
[ bib | doi ]

  Imprint   Privacy Last modified: 2015-11-12 04:23   AW